Welcome![Sign In][Sign Up]
Location:
Search - traffic controller

Search list

[VHDL-FPGA-Verilogtraffic_controller

Description: it is a verilog code written for traffic light controller will synthesize in xinlix ise 8.2i.i have tested it om my kit.[i mae my own kit for spartan2 device].it is a state machine based code.-it is a verilog code written for traffic light controller will synthesize in xinlix ise 8.2i.i have tested it om my kit.[i mae my own kit for spartan2 device].it is a state machine based code.
Platform: | Size: 34816 | Author: yasir ateeq | Hits:

[VHDL-FPGA-Verilogtraffic_controller

Description: 实现交通灯控制器的vhdl编程,并且经过下载验证-Implementation of traffic light controller VHDL programming, and has gone through a download authentication
Platform: | Size: 1475584 | Author: 电子时钟 | Hits:

[JSP/JavaXR

Description: 交通信号灯的线程设计 实验目的:多线程设计,同步机制 题意 设计一个交通信号灯类: (1) 变量:位置、颜色(红、黄、绿)、显示时间(秒)。 (2) 方法:切(3) 换信号灯。 创建并启动两个线程(东西向、南北向)同时运行。 实验要求 (4) 设计线程。 (5) 设计路口信号灯示意图界面。 (6) 进一步将每个方向的信号灯分成3种车道灯:左转、直行和右转。 (7) 根据车流量进行时间的模糊控制。 -Traffic signal design experimental purposes thread: multi-threaded design, synchronization mechanism to design a title intended to traffic lights categories: (1) variables: location, color (red, yellow and green), showing time (seconds). (2) Method: Cut (3) for signal. Create and start two threads (east to west, north-south) to run simultaneously. Experimental requirements (4) design thread. (5) Schematic diagram of the interface design of the junction signal. (6) further in each direction, the signal is divided into three kinds of driveway lights: turn left, go straight and turn right. (7) in accordance with the time flow of fuzzy control.
Platform: | Size: 4096 | Author: 伊川 | Hits:

[VHDL-FPGA-Verilogjtd

Description: 这个是用verilog语言编写的基于FPGA的交通灯控制器,分别控制四个方向上的交通灯的通断-The verilog language is FPGA-based traffic light controller, respectively, the four direction control of traffic lights-off
Platform: | Size: 48128 | Author: jyb | Hits:

[Other1

Description: 十字路口交通信号灯PLC控制系统,本文设计了基于PLC控制的交通信号灯控制系统。该系统选用的可编程逻辑控制器是德国西门子公司的S7-200,具有一定的智能性,即可以根据路面车流量大小对十字路口的交通信号灯按高峰期、正常期和晚间几个时段进行分时控制。-Intersection traffic signal control system PLC, the paper design of PLC based control of traffic signal control system. The system is optional programmable logic controller is the German company Siemens S7-200, has a certain intelligence, that is, the road traffic flow based on the size of the traffic lights at the crossroads by the peak of the normal period and the evening for several hours Time control.
Platform: | Size: 40960 | Author: 王炳文 | Hits:

[VHDL-FPGA-VerilogCPLD

Description: 摘要:本文主要介绍以CPLD 芯片进行十字路口的交通灯的设计,用CPLD 作为交通灯控制器的主控芯片,采用VHDL 语言编写控制程序,利用CPLD的可重复编程和在动态系统重构的特性,大大地提高了数字系统设计的灵活性和通用性。 关键词:CPLD;VHDL;交通灯控制器 中图分类号:TP39 Abstract :This paper introduces the electronic-traffic lamp, which is based on the VHDL and is completed by-Abstract: This paper introduces the CPLD chip to the traffic lights at the crossroads of design, traffic lights with CPLD as the master controller chip, the use of VHDL language control procedures, the use of CPLD re-programming and dynamic system reconfiguration in the features greatly enhance the digital system design flexibility and versatility. Keywords: CPLD VHDL traffic lights controller CLC number: TP39 Abstract: This paper introduces the electronic-traffic lamp, which is based on the VHDL and is completed by
Platform: | Size: 34816 | Author: jimmy | Hits:

[OtherTRAFFIC2

Description: /*** *** *** *** *** *** *** *** *** *** *** *** ****/ /* */ /* TRAFFIC2.C: Traffic Light Controller using RTX-51 */ /* */ /* 17-NOV-1994 / EG */ /****************************************************************************/ /* Derived from TRAFFIC.C (originally written for RTX tiny). */ /* Shows advanced features of the full version of RTX-51. */ /****************************************************************************/-/****************************************************************************/ /* */ /* TRAFFIC2.C: Traffic Light Controller using RTX-51 */ /* */ /* 17-NOV-1994/EG */ /****************************************************************************/ /* Derived from TRAFFIC.C (originally written for RTX tiny). */ /* Shows advanced features of the full version of RTX-51. */ /****************************************************************************/
Platform: | Size: 4096 | Author: lolapp | Hits:

[Otherjiaotongdengkongzhi

Description: 东西和南北方向各有一组红、黄、绿灯用于指挥交通,红、黄、绿的持续时间分别为25s,5s,20s。 当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。 一组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。-East-West and North-South direction of a different set of red, yellow and green light for directing traffic, red, yellow and green are the duration of 25s, 5s, 20s. When there are emergency situations (such as fire engines), the two directions are the red light, stop time, when the special circumstances of the end of the controller to restore the original state, the normal work. A group of digital controls to display the countdown in two directions to allow access or prohibit the passage of time.
Platform: | Size: 547840 | Author: 黄巍 | Hits:

[VHDL-FPGA-Verilogjtd

Description: 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的1KHz 时钟,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz 脉冲,即每1s 中递减一次,在显示时间小于3 秒的时候,通车方向的黄灯以2Hz的频率闪烁。系统中用S1 按键进行复位。-To complete the tasks in this experiment is to design a simple traffic light controller, traffic lights display box with the experimental traffic lights control module and the seventh of arbitrary code to display the two. Select system clock clock module 1KHz clock, clock called for blinking yellow light 2Hz, and seventh time code display tube for 1Hz pulse, that is diminishing every 1s in time, display time in less than three seconds when the opening direction of the yellow flicker frequency to 2Hz. System used for reset button S1.
Platform: | Size: 41984 | Author: 卢陶 | Hits:

[assembly language8253

Description: 本报告主要介绍了微机原理与接口技术的应用之一——交通灯控制器的设计与实现,主要是模拟十字路口的红绿灯,还介绍了交通灯控制器的原理以及电路接线,其中主要用到的芯片有可编程并行通信接口芯片8255A。在设计中所用到的编程语言是汇编语言,延时采用的是软件延时(即通过汇编指令)。设计所用到的备是由西安唐都科技仪器公司生产的TD-PIT+实验系统一套。在实验室将汇编程序调试通过后即可看到设计的结果。-This report introduced the Microcomputer Principle and Interface Technology, one- traffic light controller design and realization of the simulation at the crossroads of major traffic lights, traffic lights also introduced the principle of the controller and the circuit wiring, the main use The chip has a programmable communications interface chip parallel 8255A. Used in the design of the programming language is assembly language, delay is used in software delay (that is, through compilation of instructions). Preparation of the design used by the Instrument Science and Technology Garden have produced TD-PIT+ a set of experimental system. In the laboratory testing will be compiled after the adoption of procedures designed to see the results.
Platform: | Size: 70656 | Author: tangshuai | Hits:

[Other8255A

Description: 交通灯控制器实验报告--- SOC课程设计 一.实验功能 该交通灯控制器,能完成以下功能: ⒈ 显示交通灯的红、黄、绿的指示状态 用L1、L2、L3作为绿、黄、红灯; ⒉ 能实现正常的倒计时功能: 用M2、M1作为南北方向的倒计时显示器,显示时间为红灯8秒,绿灯8秒,黄灯8秒。 ⒊ 能实现特殊状态的功能 (1) 按S1后,能实现特殊状态功能; -Experimental reports of traffic light controller to design a course--- SOC. Experimental features of the traffic signal controller, to complete the following functions: ⒈ show traffic lights red, yellow and green with the instructions of the state of L1, L2, L3, as green, yellow, red ⒉ countdown to achieve normal function: to use M2 , M1 north-south direction, as the countdown display, showed a red light 55 seconds, 30 seconds the green light, yellow light 15 seconds. ⒊ to achieve the special status of function (1) post-S1, to achieve the special status of function
Platform: | Size: 1024 | Author: 撕裂的天堂 | Hits:

[assembly languageJiaoTongDeng

Description: 交通灯控制器,还有Proteus的使用例程,是目前最好的教程.入门教程,还不错的,看看吧!-Traffic light controller, as well as the use of Proteus routine is the best course. Getting Started Guide, but also good to see you!
Platform: | Size: 48128 | Author: zipl19850114 | Hits:

[VHDL-FPGA-Verilogtraffic

Description: 交通灯 vhdl 进程
Platform: | Size: 866304 | Author: 吴小平 | Hits:

[VHDL-FPGA-VerilogTraffic_llight_controller

Description: Consider the following variation on the traffic light controller problem. A North-South road intersects an East-West road. In addition to the Red/Yellow/Green traffic lights, the N-S road has green left-turn arrows. The arrows work as follows. With the traffic lights red in all direction, the N-S left turn arrows are illuminated Green. Then they turn yellow and finally they turn red. At this point, the N-S lights cycle Green/Yellow/Red. In the N-S direction, the Green Arrow time is 16 seconds and the Yellow Arrow time is 8 s. Overlapping with this is Red light time, which is 88 s. The Green light time is 24 s and the Yellow light time is 8 s. The Red Arrow time is what is left after the other arrows have been illuminated within the N-S cycle. The E-W lights are: Red 56 s, Green 56 s, and Yellow 8 s. -Consider the following variation on the traffic light controller problem. A North-South road intersects an East-West road. In addition to the Red/Yellow/Green traffic lights, the N-S road has green left-turn arrows. The arrows work as follows. With the traffic lights red in all direction, the N-S left turn arrows are illuminated Green. Then they turn yellow and finally they turn red. At this point, the N-S lights cycle Green/Yellow/Red. In the N-S direction, the Green Arrow time is 16 seconds and the Yellow Arrow time is 8 s. Overlapping with this is Red light time, which is 88 s. The Green light time is 24 s and the Yellow light time is 8 s. The Red Arrow time is what is left after the other arrows have been illuminated within the N-S cycle. The E-W lights are: Red 56 s, Green 56 s, and Yellow 8 s.
Platform: | Size: 6144 | Author: deepa | Hits:

[OpenGL programIntillTrafficLightControllr

Description: This the theory related to Traffic Light Controller project-This is the theory related to Traffic Light Controller project
Platform: | Size: 264192 | Author: mahesh | Hits:

[Software Engineeringabc

Description: 基于单片机的交通灯控制器的设计与实现 系统设计方案 -Microcontroller-based traffic light controller design and implementation of
Platform: | Size: 194560 | Author: wenbilwu | Hits:

[Othertraffic

Description: traffic light controller
Platform: | Size: 48128 | Author: Magic | Hits:

[Othertraffic

Description: 实现路口交通灯系统的控制方法很多,可以用标准逻辑器件,可编程控制器PLC,单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的Verilog HDL硬件电路描述语言,实现交通灯系统控制器的设计,利用MAX+PLUS 集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。-Intersection traffic signal systems to achieve the control of many ways you can use standard logic devices, programmable logic controller PLC, microcontroller and other programs to achieve. However, the functions of these control methods require modification and debugging support for hardware circuit, to a certain extent, an increase of functional modifications and system debugging difficulties. Thus, in the design using EDA technologies, applications, the widely used Verilog HDL hardware circuit description language to realize the design of traffic signal system controller, using MAX+ PLUS a comprehensive integrated development environment, simulation, and downloaded to the CPLD programmable logic devices to complete the system control role.
Platform: | Size: 1024 | Author: 沈田 | Hits:

[VHDL-FPGA-Verilogjtd

Description: 这是一个用VHDL编写的交通灯控制器,可以控制主干道和乡村公路的红绿灯-It is written in VHDL, a traffic light controller that can control the main roads and rural roads at the traffic lights
Platform: | Size: 290816 | Author: 蔡斌 | Hits:

[Industry researchJTXHFANGZHEN

Description: 本文在分析城市交通信号控制研究现状和交叉口交通信号控制原理、评价方 法的基础上,设计了单交叉口交通信号两级模糊控制系统。分级模糊控制能有效 减少模糊规则数,易于提取模糊规则,适合于交通状况复杂的城市交叉口交通信 号控制。但它存在难以由人工合理定义全部模糊隶属度函数的问题。为此本文进 一步采用遗传算法对两级模糊控制器中模糊隶属度函数进行优化。本文提出的方 法具有分级模糊控制的优点,同时可以使模糊隶属度的选取更为合理,获得更好 的控制效果。对一个四相位单交叉口,利用MATLAB在不同的交通条件下进行 了仿真,并利用交通仿真软件PARAMICS进行了可视化仿真。仿真结果表明该 方法能有效降低通行车辆在交叉口的平均等待时间,明显优于传统控制方法-After analyzing the city-traffic developments,the grade crossings control theory its method of evaluation,the paper designs a traffic signal two-stage fuzzy control tem for single intersection.Two-stage fuzzy controller,which is easy to acquire zy rules and can greatly decrease the number of fuzzy rules,is very suitable to plex urban intersection control.But it still presents a difficulty for deciding all the bership functions correctly only by human experience.Therefor,to solve this blem,a technique using genetic algorithm to modify its fuzzy membership ctions is proposed.This method not only possesses the advantages of hierarchical zy control,but also can change its membership functions adaptively to an optimal ing in different traffic situations as well,and in this way the currency power of the an intersection is improved.For a single urban intersection with four-phase, ulation of different traffic condition is processed by using MATLAB.Moreover, ble simulation is proce
Platform: | Size: 312320 | Author: 刚子 | Hits:
« 1 2 3 45 6 7 8 9 10 ... 15 »

CodeBus www.codebus.net